/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */ /* Module Version: 6.2 */ /* C:\lscc\diamond\2.2_x64\ispfpga\bin\nt64\scuba.exe -w -n scratchpad_ebr -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 10 -rp 0011 -rdata_width 32 -data_width 32 -num_rows 1024 -cascade -1 -memfile s:/lm32/test/bin/test_data.mem -memformat hex -e */ /* Mon Aug 05 01:09:09 2013 */ `timescale 1 ns / 1 ps module scratchpad_ebr (WrAddress, RdAddress, Data, WE, RdClock, RdClockEn, Reset, WrClock, WrClockEn, Q)/* synthesis NGD_DRC_MASK=1 */; input wire [9:0] WrAddress; input wire [9:0] RdAddress; input wire [31:0] Data; input wire WE; input wire RdClock; input wire RdClockEn; input wire Reset; input wire WrClock; input wire WrClockEn; output wire [31:0] Q; wire scuba_vhi; wire scuba_vlo; defparam scratchpad_ebr_0_0_3.INIT_DATA = "STATIC" ; defparam scratchpad_ebr_0_0_3.ASYNC_RESET_RELEASE = "SYNC" ; defparam scratchpad_ebr_0_0_3.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_0_3.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000824108241" ; defparam scratchpad_ebr_0_0_3.CSDECODE_B = "0b000" ; defparam scratchpad_ebr_0_0_3.CSDECODE_A = "0b000" ; defparam scratchpad_ebr_0_0_3.WRITEMODE_B = "NORMAL" ; defparam scratchpad_ebr_0_0_3.WRITEMODE_A = "NORMAL" ; defparam scratchpad_ebr_0_0_3.GSR = "ENABLED" ; defparam scratchpad_ebr_0_0_3.RESETMODE = "ASYNC" ; defparam scratchpad_ebr_0_0_3.REGMODE_B = "NOREG" ; defparam scratchpad_ebr_0_0_3.REGMODE_A = "NOREG" ; defparam scratchpad_ebr_0_0_3.DATA_WIDTH_B = 9 ; defparam scratchpad_ebr_0_0_3.DATA_WIDTH_A = 9 ; DP8KC scratchpad_ebr_0_0_3 (.DIA8(Data[8]), .DIA7(Data[7]), .DIA6(Data[6]), .DIA5(Data[5]), .DIA4(Data[4]), .DIA3(Data[3]), .DIA2(Data[2]), .DIA1(Data[1]), .DIA0(Data[0]), .ADA12(WrAddress[9]), .ADA11(WrAddress[8]), .ADA10(WrAddress[7]), .ADA9(WrAddress[6]), .ADA8(WrAddress[5]), .ADA7(WrAddress[4]), .ADA6(WrAddress[3]), .ADA5(WrAddress[2]), .ADA4(WrAddress[1]), .ADA3(WrAddress[0]), .ADA2(scuba_vlo), .ADA1(scuba_vlo), .ADA0(scuba_vhi), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[9]), .ADB11(RdAddress[8]), .ADB10(RdAddress[7]), .ADB9(RdAddress[6]), .ADB8(RdAddress[5]), .ADB7(RdAddress[4]), .ADB6(RdAddress[3]), .ADB5(RdAddress[2]), .ADB4(RdAddress[1]), .ADB3(RdAddress[0]), .ADB2(scuba_vlo), .ADB1(scuba_vlo), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(Q[8]), .DOB7(Q[7]), .DOB6(Q[6]), .DOB5(Q[5]), .DOB4(Q[4]), .DOB3(Q[3]), .DOB2(Q[2]), .DOB1(Q[1]), .DOB0(Q[0])) /* synthesis MEM_LPC_FILE="scratchpad_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_data.mem" */; defparam scratchpad_ebr_0_1_2.INIT_DATA = "STATIC" ; defparam scratchpad_ebr_0_1_2.ASYNC_RESET_RELEASE = "SYNC" ; defparam scratchpad_ebr_0_1_2.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_1_2.CSDECODE_B = "0b000" ; defparam scratchpad_ebr_0_1_2.CSDECODE_A = "0b000" ; defparam scratchpad_ebr_0_1_2.WRITEMODE_B = "NORMAL" ; defparam scratchpad_ebr_0_1_2.WRITEMODE_A = "NORMAL" ; defparam scratchpad_ebr_0_1_2.GSR = "ENABLED" ; defparam scratchpad_ebr_0_1_2.RESETMODE = "ASYNC" ; defparam scratchpad_ebr_0_1_2.REGMODE_B = "NOREG" ; defparam scratchpad_ebr_0_1_2.REGMODE_A = "NOREG" ; defparam scratchpad_ebr_0_1_2.DATA_WIDTH_B = 9 ; defparam scratchpad_ebr_0_1_2.DATA_WIDTH_A = 9 ; DP8KC scratchpad_ebr_0_1_2 (.DIA8(Data[17]), .DIA7(Data[16]), .DIA6(Data[15]), .DIA5(Data[14]), .DIA4(Data[13]), .DIA3(Data[12]), .DIA2(Data[11]), .DIA1(Data[10]), .DIA0(Data[9]), .ADA12(WrAddress[9]), .ADA11(WrAddress[8]), .ADA10(WrAddress[7]), .ADA9(WrAddress[6]), .ADA8(WrAddress[5]), .ADA7(WrAddress[4]), .ADA6(WrAddress[3]), .ADA5(WrAddress[2]), .ADA4(WrAddress[1]), .ADA3(WrAddress[0]), .ADA2(scuba_vlo), .ADA1(scuba_vlo), .ADA0(scuba_vhi), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[9]), .ADB11(RdAddress[8]), .ADB10(RdAddress[7]), .ADB9(RdAddress[6]), .ADB8(RdAddress[5]), .ADB7(RdAddress[4]), .ADB6(RdAddress[3]), .ADB5(RdAddress[2]), .ADB4(RdAddress[1]), .ADB3(RdAddress[0]), .ADB2(scuba_vlo), .ADB1(scuba_vlo), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(Q[17]), .DOB7(Q[16]), .DOB6(Q[15]), .DOB5(Q[14]), .DOB4(Q[13]), .DOB3(Q[12]), .DOB2(Q[11]), .DOB1(Q[10]), .DOB0(Q[9])) /* synthesis MEM_LPC_FILE="scratchpad_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_data.mem" */; defparam scratchpad_ebr_0_2_1.INIT_DATA = "STATIC" ; defparam scratchpad_ebr_0_2_1.ASYNC_RESET_RELEASE = "SYNC" ; defparam scratchpad_ebr_0_2_1.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_2_1.CSDECODE_B = "0b000" ; defparam scratchpad_ebr_0_2_1.CSDECODE_A = "0b000" ; defparam scratchpad_ebr_0_2_1.WRITEMODE_B = "NORMAL" ; defparam scratchpad_ebr_0_2_1.WRITEMODE_A = "NORMAL" ; defparam scratchpad_ebr_0_2_1.GSR = "ENABLED" ; defparam scratchpad_ebr_0_2_1.RESETMODE = "ASYNC" ; defparam scratchpad_ebr_0_2_1.REGMODE_B = "NOREG" ; defparam scratchpad_ebr_0_2_1.REGMODE_A = "NOREG" ; defparam scratchpad_ebr_0_2_1.DATA_WIDTH_B = 9 ; defparam scratchpad_ebr_0_2_1.DATA_WIDTH_A = 9 ; DP8KC scratchpad_ebr_0_2_1 (.DIA8(Data[26]), .DIA7(Data[25]), .DIA6(Data[24]), .DIA5(Data[23]), .DIA4(Data[22]), .DIA3(Data[21]), .DIA2(Data[20]), .DIA1(Data[19]), .DIA0(Data[18]), .ADA12(WrAddress[9]), .ADA11(WrAddress[8]), .ADA10(WrAddress[7]), .ADA9(WrAddress[6]), .ADA8(WrAddress[5]), .ADA7(WrAddress[4]), .ADA6(WrAddress[3]), .ADA5(WrAddress[2]), .ADA4(WrAddress[1]), .ADA3(WrAddress[0]), .ADA2(scuba_vlo), .ADA1(scuba_vlo), .ADA0(scuba_vhi), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[9]), .ADB11(RdAddress[8]), .ADB10(RdAddress[7]), .ADB9(RdAddress[6]), .ADB8(RdAddress[5]), .ADB7(RdAddress[4]), .ADB6(RdAddress[3]), .ADB5(RdAddress[2]), .ADB4(RdAddress[1]), .ADB3(RdAddress[0]), .ADB2(scuba_vlo), .ADB1(scuba_vlo), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(Q[26]), .DOB7(Q[25]), .DOB6(Q[24]), .DOB5(Q[23]), .DOB4(Q[22]), .DOB3(Q[21]), .DOB2(Q[20]), .DOB1(Q[19]), .DOB0(Q[18])) /* synthesis MEM_LPC_FILE="scratchpad_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_data.mem" */; VHI scuba_vhi_inst (.Z(scuba_vhi)); VLO scuba_vlo_inst (.Z(scuba_vlo)); defparam scratchpad_ebr_0_3_0.INIT_DATA = "STATIC" ; defparam scratchpad_ebr_0_3_0.ASYNC_RESET_RELEASE = "SYNC" ; defparam scratchpad_ebr_0_3_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam scratchpad_ebr_0_3_0.CSDECODE_B = "0b000" ; defparam scratchpad_ebr_0_3_0.CSDECODE_A = "0b000" ; defparam scratchpad_ebr_0_3_0.WRITEMODE_B = "NORMAL" ; defparam scratchpad_ebr_0_3_0.WRITEMODE_A = "NORMAL" ; defparam scratchpad_ebr_0_3_0.GSR = "ENABLED" ; defparam scratchpad_ebr_0_3_0.RESETMODE = "ASYNC" ; defparam scratchpad_ebr_0_3_0.REGMODE_B = "NOREG" ; defparam scratchpad_ebr_0_3_0.REGMODE_A = "NOREG" ; defparam scratchpad_ebr_0_3_0.DATA_WIDTH_B = 9 ; defparam scratchpad_ebr_0_3_0.DATA_WIDTH_A = 9 ; DP8KC scratchpad_ebr_0_3_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(scuba_vlo), .DIA4(Data[31]), .DIA3(Data[30]), .DIA2(Data[29]), .DIA1(Data[28]), .DIA0(Data[27]), .ADA12(WrAddress[9]), .ADA11(WrAddress[8]), .ADA10(WrAddress[7]), .ADA9(WrAddress[6]), .ADA8(WrAddress[5]), .ADA7(WrAddress[4]), .ADA6(WrAddress[3]), .ADA5(WrAddress[2]), .ADA4(WrAddress[1]), .ADA3(WrAddress[0]), .ADA2(scuba_vlo), .ADA1(scuba_vlo), .ADA0(scuba_vhi), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[9]), .ADB11(RdAddress[8]), .ADB10(RdAddress[7]), .ADB9(RdAddress[6]), .ADB8(RdAddress[5]), .ADB7(RdAddress[4]), .ADB6(RdAddress[3]), .ADB5(RdAddress[2]), .ADB4(RdAddress[1]), .ADB3(RdAddress[0]), .ADB2(scuba_vlo), .ADB1(scuba_vlo), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(Q[31]), .DOB3(Q[30]), .DOB2(Q[29]), .DOB1(Q[28]), .DOB0(Q[27])) /* synthesis MEM_LPC_FILE="scratchpad_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_data.mem" */; // exemplar begin // exemplar attribute scratchpad_ebr_0_0_3 MEM_LPC_FILE scratchpad_ebr.lpc // exemplar attribute scratchpad_ebr_0_0_3 MEM_INIT_FILE test_data.mem // exemplar attribute scratchpad_ebr_0_1_2 MEM_LPC_FILE scratchpad_ebr.lpc // exemplar attribute scratchpad_ebr_0_1_2 MEM_INIT_FILE test_data.mem // exemplar attribute scratchpad_ebr_0_2_1 MEM_LPC_FILE scratchpad_ebr.lpc // exemplar attribute scratchpad_ebr_0_2_1 MEM_INIT_FILE test_data.mem // exemplar attribute scratchpad_ebr_0_3_0 MEM_LPC_FILE scratchpad_ebr.lpc // exemplar attribute scratchpad_ebr_0_3_0 MEM_INIT_FILE test_data.mem // exemplar end endmodule