/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */ /* Module Version: 6.2 */ /* C:\lscc\diamond\2.2_x64\ispfpga\bin\nt64\scuba.exe -w -n bootrom_ebr -lang verilog -synth synplify -bl -arch xo2c00 -type bram -wp 10 -rp 0011 -rdata_width 32 -data_width 32 -num_rows 4092 -cascade -1 -memfile s:/lm32/test/bin/test_code.mem -memformat hex -e */ /* Wed Aug 07 01:58:36 2013 */ `timescale 1 ns / 1 ps module bootrom_ebr (WrAddress, RdAddress, Data, WE, RdClock, RdClockEn, Reset, WrClock, WrClockEn, Q)/* synthesis NGD_DRC_MASK=1 */; input wire [0:11] WrAddress; input wire [0:11] RdAddress; input wire [0:31] Data; input wire WE; input wire RdClock; input wire RdClockEn; input wire Reset; input wire WrClock; input wire WrClockEn; output wire [0:31] Q; wire scuba_vhi; wire scuba_vlo; VHI scuba_vhi_inst (.Z(scuba_vhi)); defparam bootrom_ebr_0_0_15.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_0_15.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_0_15.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_0_15.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000040001000" ; defparam bootrom_ebr_0_0_15.INITVAL_00 = "0x000C2000000000000000000000000000000000000008C0006C0004C0007C0065C0007C0065C00004" ; defparam bootrom_ebr_0_0_15.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_0_15.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_0_15.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_0_15.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_0_15.GSR = "ENABLED" ; defparam bootrom_ebr_0_0_15.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_0_15.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_0_15.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_0_15.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_0_15.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_0_15 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[1]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[0]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[1]), .DOB0(Q[0])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_1_14.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_1_14.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_1_14.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_1_14.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001A15" ; defparam bootrom_ebr_0_1_14.INITVAL_00 = "0x00031000000044E09C4E0923907239072241C8E6002040068C00224006AC00014006AC000140000C" ; defparam bootrom_ebr_0_1_14.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_1_14.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_1_14.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_1_14.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_1_14.GSR = "ENABLED" ; defparam bootrom_ebr_0_1_14.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_1_14.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_1_14.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_1_14.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_1_14.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_1_14 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[3]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[2]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[3]), .DOB0(Q[2])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_2_13.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_2_13.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_2_13.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_2_13.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001800" ; defparam bootrom_ebr_0_2_13.INITVAL_00 = "0x0003300000006FA14A5001EEA12A4005EEA0AA00002000020000444004440008800688002CC00008" ; defparam bootrom_ebr_0_2_13.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_2_13.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_2_13.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_2_13.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_2_13.GSR = "ENABLED" ; defparam bootrom_ebr_0_2_13.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_2_13.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_2_13.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_2_13.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_2_13.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_2_13 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[5]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[4]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[5]), .DOB0(Q[4])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_3_12.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_3_12.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_3_12.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_3_12.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001800" ; defparam bootrom_ebr_0_3_12.INITVAL_00 = "0x00033000000000000000000000000000000000030004000040000400004000240000400024000004" ; defparam bootrom_ebr_0_3_12.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_3_12.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_3_12.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_3_12.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_3_12.GSR = "ENABLED" ; defparam bootrom_ebr_0_3_12.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_3_12.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_3_12.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_3_12.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_3_12.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_3_12 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[7]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[6]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[7]), .DOB0(Q[6])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_4_11.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_4_11.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_4_11.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001800" ; defparam bootrom_ebr_0_4_11.INITVAL_00 = "0x00033000000000000000000000000000000000030000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_4_11.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_4_11.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_4_11.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_4_11.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_4_11.GSR = "ENABLED" ; defparam bootrom_ebr_0_4_11.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_4_11.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_4_11.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_4_11.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_4_11.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_4_11 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[9]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[8]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[9]), .DOB0(Q[8])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_5_10.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_5_10.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_5_10.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001800" ; defparam bootrom_ebr_0_5_10.INITVAL_00 = "0x00033000000000000000000000000000000000030002000000000000000000000000000000000000" ; defparam bootrom_ebr_0_5_10.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_5_10.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_5_10.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_5_10.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_5_10.GSR = "ENABLED" ; defparam bootrom_ebr_0_5_10.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_5_10.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_5_10.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_5_10.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_5_10.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_5_10 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[11]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[10]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[11]), .DOB0(Q[10])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_6_9.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_6_9.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_6_9.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060001800" ; defparam bootrom_ebr_0_6_9.INITVAL_00 = "0x00003000000000000000000000000000000000030000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_6_9.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_6_9.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_6_9.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_6_9.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_6_9.GSR = "ENABLED" ; defparam bootrom_ebr_0_6_9.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_6_9.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_6_9.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_6_9.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_6_9.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_6_9 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[13]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[12]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[13]), .DOB0(Q[12])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_7_8.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_7_8.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_7_8.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060801800" ; defparam bootrom_ebr_0_7_8.INITVAL_00 = "0x00003000000000000000000000000000000000030000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_7_8.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_7_8.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_7_8.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_7_8.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_7_8.GSR = "ENABLED" ; defparam bootrom_ebr_0_7_8.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_7_8.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_7_8.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_7_8.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_7_8.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_7_8 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[15]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[14]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[15]), .DOB0(Q[14])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_8_7.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_8_7.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_8_7.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_8_7.INITVAL_01 = "0x0000000000000000000000000000000000000000000000000000000000000000000000006A60AA29" ; defparam bootrom_ebr_0_8_7.INITVAL_00 = "0x1200300000000E609C4E086990723902EA41C8E40000100011000110001100011000110001100000" ; defparam bootrom_ebr_0_8_7.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_8_7.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_8_7.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_8_7.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_8_7.GSR = "ENABLED" ; defparam bootrom_ebr_0_8_7.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_8_7.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_8_7.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_8_7.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_8_7.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_8_7 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[17]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[16]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[17]), .DOB0(Q[16])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_9_6.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_9_6.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_9_6.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_9_6.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060000000" ; defparam bootrom_ebr_0_9_6.INITVAL_00 = "0x0003F00000006FE14A5001EFA12A40006EA0AA030000300003000030000300003000030000300000" ; defparam bootrom_ebr_0_9_6.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_9_6.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_9_6.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_9_6.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_9_6.GSR = "ENABLED" ; defparam bootrom_ebr_0_9_6.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_9_6.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_9_6.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_9_6.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_9_6.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_9_6 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[19]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[18]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[19]), .DOB0(Q[18])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_10_5.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_10_5.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_10_5.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_10_5.INITVAL_01 = "0x0000000000000000000000000000000000000000000000000000000000000000000000006A2104A2" ; defparam bootrom_ebr_0_10_5.INITVAL_00 = "0x0101700000012540000000A500000010240000010000100001000010000100001000010000100000" ; defparam bootrom_ebr_0_10_5.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_10_5.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_10_5.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_10_5.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_10_5.GSR = "ENABLED" ; defparam bootrom_ebr_0_10_5.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_10_5.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_10_5.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_10_5.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_10_5.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_10_5 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[21]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[20]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[21]), .DOB0(Q[20])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_11_4.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_11_4.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_11_4.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_11_4.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060000804" ; defparam bootrom_ebr_0_11_4.INITVAL_00 = "0x010230000001CAA154AA174AA154AA154AA154AA0002200002000020000200002000020000200000" ; defparam bootrom_ebr_0_11_4.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_11_4.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_11_4.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_11_4.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_11_4.GSR = "ENABLED" ; defparam bootrom_ebr_0_11_4.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_11_4.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_11_4.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_11_4.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_11_4.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_11_4 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[23]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[22]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[23]), .DOB0(Q[22])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_12_3.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_12_3.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_12_3.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_12_3.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000060000000" ; defparam bootrom_ebr_0_12_3.INITVAL_00 = "0x018330000001EFF1FEFF1FEFF1FEFF1FEFF1FEFF0003300003000030000300003000030000300000" ; defparam bootrom_ebr_0_12_3.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_12_3.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_12_3.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_12_3.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_12_3.GSR = "ENABLED" ; defparam bootrom_ebr_0_12_3.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_12_3.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_12_3.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_12_3.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_12_3.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_12_3 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[25]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[24]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[25]), .DOB0(Q[24])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_13_2.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_13_2.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_13_2.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_13_2.INITVAL_01 = "0x0000000000000000000000000000000000000000000000000000000000000000000000000AA14A8A" ; defparam bootrom_ebr_0_13_2.INITVAL_00 = "0x140A8154AA142AA154AA10CAA154AA054AA154A90A8AA0A89A0A89A0A89A0A89A0A89A0A89A0AA52" ; defparam bootrom_ebr_0_13_2.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_13_2.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_13_2.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_13_2.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_13_2.GSR = "ENABLED" ; defparam bootrom_ebr_0_13_2.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_13_2.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_13_2.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_13_2.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_13_2.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_13_2 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[27]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[26]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[27]), .DOB0(Q[26])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; defparam bootrom_ebr_0_14_1.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_14_1.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_14_1.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_14_1.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000045E1EE5F" ; defparam bootrom_ebr_0_14_1.INITVAL_00 = "0x1E4FE0AA550A6AA154AA11CAA154AA032550AA571FCFD1FCFD1FCFD1FCFD1FCFD1FCFD1FCFD1FEF9" ; defparam bootrom_ebr_0_14_1.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_14_1.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_14_1.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_14_1.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_14_1.GSR = "ENABLED" ; defparam bootrom_ebr_0_14_1.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_14_1.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_14_1.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_14_1.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_14_1.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_14_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[29]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[28]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[29]), .DOB0(Q[28])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; VLO scuba_vlo_inst (.Z(scuba_vlo)); defparam bootrom_ebr_0_15_0.INIT_DATA = "STATIC" ; defparam bootrom_ebr_0_15_0.ASYNC_RESET_RELEASE = "SYNC" ; defparam bootrom_ebr_0_15_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam bootrom_ebr_0_15_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000065402850" ; defparam bootrom_ebr_0_15_0.INITVAL_00 = "0x0BEC70AA550B8000000006000000001A2550AA54006ED006CD006CD006CD006CD006CD006CD0000E" ; defparam bootrom_ebr_0_15_0.CSDECODE_B = "0b000" ; defparam bootrom_ebr_0_15_0.CSDECODE_A = "0b000" ; defparam bootrom_ebr_0_15_0.WRITEMODE_B = "NORMAL" ; defparam bootrom_ebr_0_15_0.WRITEMODE_A = "NORMAL" ; defparam bootrom_ebr_0_15_0.GSR = "ENABLED" ; defparam bootrom_ebr_0_15_0.RESETMODE = "ASYNC" ; defparam bootrom_ebr_0_15_0.REGMODE_B = "NOREG" ; defparam bootrom_ebr_0_15_0.REGMODE_A = "NOREG" ; defparam bootrom_ebr_0_15_0.DATA_WIDTH_B = 2 ; defparam bootrom_ebr_0_15_0.DATA_WIDTH_A = 2 ; DP8KC bootrom_ebr_0_15_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), .DIA5(Data[31]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(Data[30]), .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(WrAddress[11]), .ADA11(WrAddress[10]), .ADA10(WrAddress[9]), .ADA9(WrAddress[8]), .ADA8(WrAddress[7]), .ADA7(WrAddress[6]), .ADA6(WrAddress[5]), .ADA5(WrAddress[4]), .ADA4(WrAddress[3]), .ADA3(WrAddress[2]), .ADA2(WrAddress[1]), .ADA1(WrAddress[0]), .ADA0(scuba_vlo), .CEA(WrClockEn), .OCEA(WrClockEn), .CLKA(WrClock), .WEA(WE), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(RdAddress[11]), .ADB11(RdAddress[10]), .ADB10(RdAddress[9]), .ADB9(RdAddress[8]), .ADB8(RdAddress[7]), .ADB7(RdAddress[6]), .ADB6(RdAddress[5]), .ADB5(RdAddress[4]), .ADB4(RdAddress[3]), .ADB3(RdAddress[2]), .ADB2(RdAddress[1]), .ADB1(RdAddress[0]), .ADB0(scuba_vlo), .CEB(RdClockEn), .OCEB(RdClockEn), .CLKB(RdClock), .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(Reset), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(), .DOA0(), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(Q[31]), .DOB0(Q[30])) /* synthesis MEM_LPC_FILE="bootrom_ebr.lpc" */ /* synthesis MEM_INIT_FILE="test_code.mem" */; // exemplar begin // exemplar attribute bootrom_ebr_0_0_15 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_0_15 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_1_14 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_1_14 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_2_13 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_2_13 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_3_12 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_3_12 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_4_11 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_4_11 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_5_10 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_5_10 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_6_9 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_6_9 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_7_8 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_7_8 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_8_7 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_8_7 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_9_6 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_9_6 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_10_5 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_10_5 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_11_4 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_11_4 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_12_3 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_12_3 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_13_2 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_13_2 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_14_1 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_14_1 MEM_INIT_FILE test_code.mem // exemplar attribute bootrom_ebr_0_15_0 MEM_LPC_FILE bootrom_ebr.lpc // exemplar attribute bootrom_ebr_0_15_0 MEM_INIT_FILE test_code.mem // exemplar end endmodule