PROJ = bridge PIN_DEF = bridge.pcf all: $(PROJ).rpt $(PROJ).bin %.blif: %.v yosys -q -p 'synth_ice40 -top bridge_top -blif $@' $< %.asc: $(PIN_DEF) %.blif arachne-pnr -d 1k -o $@ -p $^ -P vq100 %.bin: %.asc icepack $< $@ %.rpt: %.asc icetime -d hx1k -mtr $@ $< clean: rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin .PHONY: all prog clean .SECONDARY: