COMMERCIAL ; BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=EFB_USER GENERATE_BITSTREAM=ENABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=10.23 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE ; FREQUENCY NET "xo_CLK_c" 26.000000 MHz ; BANK 0 VCCIO 3.3 V; BANK 1 VCCIO 3.3 V; BANK 2 VCCIO 3.3 V; BANK 3 VCCIO 3.3 V; BANK 4 VCCIO 3.3 V; BANK 5 VCCIO 3.3 V; VOLTAGE 3.300000 V; USERCODE HEX "00000000" ; TRACEID "10100101" ; LOCATE COMP "isa_AEN" SITE "93" ; LOCATE COMP "isa_D_0" SITE "96" ; LOCATE COMP "isa_D_1" SITE "97" ; LOCATE COMP "isa_D_2" SITE "98" ; LOCATE COMP "isa_D_3" SITE "99" ; LOCATE COMP "isa_D_4" SITE "100" ; LOCATE COMP "isa_D_5" SITE "106" ; LOCATE COMP "isa_D_6" SITE "104" ; LOCATE COMP "isa_D_7" SITE "103" ; LOCATE COMP "isa_IOCHRDY" SITE "95" ; LOCATE COMP "isa_IORn" SITE "86" ; LOCATE COMP "isa_IOWn" SITE "89" ; LOCATE COMP "isa_IRQSEL_0" SITE "75" ; LOCATE COMP "isa_IRQSEL_1" SITE "74" ; LOCATE COMP "isa_IRQSEL_2" SITE "73" ; LOCATE COMP "isa_RESETn" SITE "107" ; LOCATE COMP "isa_SA_0" SITE "59" ; LOCATE COMP "isa_SA_1" SITE "60" ; LOCATE COMP "isa_SA_2" SITE "61" ; LOCATE COMP "isa_SA_3" SITE "62" ; LOCATE COMP "isa_SA_4" SITE "63" ; LOCATE COMP "isa_SA_5" SITE "65" ; LOCATE COMP "isa_SA_6" SITE "67" ; LOCATE COMP "isa_SA_7" SITE "68" ; LOCATE COMP "isa_SA_8" SITE "69" ; LOCATE COMP "isa_SA_9" SITE "70" ; LOCATE COMP "isa_SA_10" SITE "76" ; LOCATE COMP "isa_SA_11" SITE "77" ; LOCATE COMP "isa_SA_12" SITE "78" ; LOCATE COMP "isa_SA_13" SITE "81" ; LOCATE COMP "isa_SA_14" SITE "82" ; LOCATE COMP "isa_SA_15" SITE "83" ; LOCATE COMP "isa_SA_16" SITE "84" ; LOCATE COMP "isa_SA_17" SITE "85" ; LOCATE COMP "isa_SA_18" SITE "87" ; LOCATE COMP "isa_SA_19" SITE "91" ; LOCATE COMP "isa_SMEMRn" SITE "92" ; LOCATE COMP "isa_SMEMWn" SITE "94" ; LOCATE COMP "xo_CLK" SITE "105" ; LOCATE COMP "sys_CFGn" SITE "58" ; LOCATE COMP "sys_LED" SITE "112" ; LOCATE COMP "fdc_RY_DCHG" SITE "143" ; LOCATE COMP "fdc_RD_DATA" SITE "142" ; LOCATE COMP "fdc_WR_PROT" SITE "141" ; LOCATE COMP "fdc_INDEX" SITE "140" ; LOCATE COMP "fdc_DENSITYi" SITE "139" ; LOCATE COMP "fdc_TRACK0" SITE "138" ; LOCATE COMP "fdc_SIDESEL" SITE "133" ; LOCATE COMP "fdc_WRGATE" SITE "132" ; LOCATE COMP "fdc_WRDATA" SITE "128" ; LOCATE COMP "fdc_STEP" SITE "127" ; LOCATE COMP "fdc_STEPDIR" SITE "126" ; LOCATE COMP "fdc_MOTEN" SITE "125" ; LOCATE COMP "fdc_DS0" SITE "122" ; LOCATE COMP "fdc_DS1" SITE "120" ; LOCATE COMP "fdc_DS2" SITE "121" ; LOCATE COMP "fdc_DS3" SITE "115" ; LOCATE COMP "fdc_IN_USE" SITE "119" ; LOCATE COMP "fdc_DENSITYo" SITE "117" ; //LOCATE COMP "spi_CLK" SITE "44" ; //LOCATE COMP "spi_MOSI" SITE "71" ; //LOCATE COMP "spi_MISO" SITE "45" ; //LOCATE COMP "spi_SSn" SITE "40" ; LOCATE COMP "mcu_CLK" SITE "109" ; LOCATE COMP "mcu_MOSI" SITE "110" ; LOCATE COMP "mcu_MISO" SITE "111" ; LOCATE COMP "mcu_SSn" SITE "114" ; LOCATE COMP "mcu_IRQn" SITE "113" ; LOCATE COMP "com_RX" SITE "10" ; LOCATE COMP "com_TX" SITE "4" ; LOCATE COMP "com_CTSn" SITE "3" ; LOCATE COMP "com_RTSn" SITE "13" ; LOCATE COMP "dram_A_0" SITE "25" ; LOCATE COMP "dram_A_1" SITE "26" ; LOCATE COMP "dram_A_2" SITE "27" ; LOCATE COMP "dram_A_3" SITE "28" ; LOCATE COMP "dram_A_4" SITE "57" ; LOCATE COMP "dram_A_5" SITE "56" ; LOCATE COMP "dram_A_6" SITE "55" ; LOCATE COMP "dram_A_7" SITE "54" ; LOCATE COMP "dram_A_8" SITE "52" ; LOCATE COMP "dram_A_9" SITE "50" ; LOCATE COMP "dram_A_10" SITE "24" ; LOCATE COMP "dram_A_11" SITE "49" ; LOCATE COMP "dram_A_12" SITE "48" ; LOCATE COMP "dram_DQ_0" SITE "1" ; LOCATE COMP "dram_DQ_1" SITE "2" ; LOCATE COMP "dram_DQ_2" SITE "5" ; LOCATE COMP "dram_DQ_3" SITE "6" ; LOCATE COMP "dram_DQ_4" SITE "9" ; LOCATE COMP "dram_DQ_5" SITE "11" ; LOCATE COMP "dram_DQ_6" SITE "12" ; LOCATE COMP "dram_DQ_7" SITE "14" ; LOCATE COMP "dram_DQ_8" SITE "41" ; LOCATE COMP "dram_DQ_9" SITE "39" ; LOCATE COMP "dram_DQ_10" SITE "38" ; LOCATE COMP "dram_DQ_11" SITE "35" ; LOCATE COMP "dram_DQ_12" SITE "34" ; LOCATE COMP "dram_DQ_13" SITE "31" ; LOCATE COMP "dram_DQ_14" SITE "32" ; LOCATE COMP "dram_DQ_15" SITE "33" ; LOCATE COMP "dram_BA_0" SITE "22" ; LOCATE COMP "dram_BA_1" SITE "23" ; LOCATE COMP "dram_DQMn_0" SITE "15" ; LOCATE COMP "dram_DQMn_1" SITE "42" ; LOCATE COMP "dram_RASn" SITE "20" ; LOCATE COMP "dram_CASn" SITE "19" ; LOCATE COMP "dram_CSn" SITE "21" ; LOCATE COMP "dram_WEn" SITE "17" ; LOCATE COMP "dram_CLK" SITE "43" ; LOCATE COMP "dram_CKE" SITE "47" ; IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; OUTPUT PORT "isa_D_0" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_1" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_2" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_3" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_4" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_5" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_6" LOAD 8.000000 pF ; OUTPUT PORT "isa_D_7" LOAD 8.000000 pF ; OUTPUT PORT "isa_IOCHRDY" LOAD 8.000000 pF ; OUTPUT PORT "isa_RESETn" LOAD 8.000000 pF ; IOBUF PORT "isa_AEN" PULLMODE=UP ; IOBUF PORT "isa_D_0" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_1" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_2" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_3" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_4" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_5" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_6" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_D_7" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_IOCHRDY" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "isa_IORn" PULLMODE=UP ; IOBUF PORT "isa_IOWn" PULLMODE=UP ; IOBUF PORT "isa_IRQSEL_0" PULLMODE=NONE ; IOBUF PORT "isa_IRQSEL_1" PULLMODE=NONE ; IOBUF PORT "isa_IRQSEL_2" PULLMODE=NONE ; IOBUF PORT "isa_RESETn" PULLMODE=UP ; IOBUF PORT "isa_SA_0" PULLMODE=UP ; IOBUF PORT "isa_SA_1" PULLMODE=UP ; IOBUF PORT "isa_SA_2" PULLMODE=UP ; IOBUF PORT "isa_SA_3" PULLMODE=UP ; IOBUF PORT "isa_SA_4" PULLMODE=UP ; IOBUF PORT "isa_SA_5" PULLMODE=UP ; IOBUF PORT "isa_SA_6" PULLMODE=UP ; IOBUF PORT "isa_SA_7" PULLMODE=UP ; IOBUF PORT "isa_SA_8" PULLMODE=UP ; IOBUF PORT "isa_SA_9" PULLMODE=UP ; IOBUF PORT "isa_SA_10" PULLMODE=UP ; IOBUF PORT "isa_SA_11" PULLMODE=UP ; IOBUF PORT "isa_SA_12" PULLMODE=UP ; IOBUF PORT "isa_SA_13" PULLMODE=UP ; IOBUF PORT "isa_SA_14" PULLMODE=UP ; IOBUF PORT "isa_SA_15" PULLMODE=UP ; IOBUF PORT "isa_SA_16" PULLMODE=UP ; IOBUF PORT "isa_SA_17" PULLMODE=UP ; IOBUF PORT "isa_SA_18" PULLMODE=UP ; IOBUF PORT "isa_SA_19" PULLMODE=UP ; IOBUF PORT "isa_SMEMRn" PULLMODE=UP ; IOBUF PORT "isa_SMEMWn" PULLMODE=UP ; IOBUF PORT "xo_CLK" PULLMODE=NONE ; IOBUF PORT "sys_CFGn" PULLMODE=NONE ; IOBUF PORT "sys_LED" PULLMODE=NONE ; IOBUF PORT "fdc_RY_DCHG" PULLMODE=NONE ; IOBUF PORT "fdc_RD_DATA" PULLMODE=NONE ; IOBUF PORT "fdc_WR_PROT" PULLMODE=NONE ; IOBUF PORT "fdc_INDEX" PULLMODE=NONE ; IOBUF PORT "fdc_DENSITYi" PULLMODE=NONE ; IOBUF PORT "fdc_TRACK0" PULLMODE=NONE ; IOBUF PORT "fdc_SIDESEL" PULLMODE=NONE ; IOBUF PORT "fdc_WRGATE" PULLMODE=NONE ; IOBUF PORT "fdc_WRDATA" PULLMODE=NONE ; IOBUF PORT "fdc_STEP" PULLMODE=NONE ; IOBUF PORT "fdc_STEPDIR" PULLMODE=NONE ; IOBUF PORT "fdc_MOTEN" PULLMODE=NONE ; IOBUF PORT "fdc_DS0" PULLMODE=NONE ; IOBUF PORT "fdc_DS1" PULLMODE=NONE ; IOBUF PORT "fdc_DS2" PULLMODE=NONE ; IOBUF PORT "fdc_DS3" PULLMODE=NONE ; IOBUF PORT "fdc_IN_USE" PULLMODE=NONE ; IOBUF PORT "fdc_DENSITYo" PULLMODE=NONE ; //IOBUF PORT "spi_CLK" PULLMODE=NONE SLEWRATE=FAST ; //IOBUF PORT "spi_MOSI" PULLMODE=NONE SLEWRATE=FAST ; //IOBUF PORT "spi_MISO" PULLMODE=UP ; //IOBUF PORT "spi_SSn" PULLMODE=NONE ; IOBUF PORT "mcu_CLK" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "mcu_MOSI" PULLMODE=UP ; IOBUF PORT "mcu_MISO" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "mcu_SSn" PULLMODE=NONE ; IOBUF PORT "mcu_IRQn" PULLMODE=NONE ; IOBUF PORT "com_RX" PULLMODE=UP ; IOBUF PORT "com_TX" PULLMODE=NONE ; IOBUF PORT "com_CTSn" PULLMODE=UP ; IOBUF PORT "com_RTSn" PULLMODE=NONE ; IOBUF PORT "dram_DQ_0" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_1" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_2" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_3" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_4" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_5" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_6" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_7" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_8" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_9" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_10" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_11" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_12" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_13" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_14" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_DQ_15" PULLMODE=UP SLEWRATE=FAST ; IOBUF PORT "dram_BA_0" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_BA_1" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_DQMn_0" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_DQMn_1" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_RASn" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_CASn" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_CSn" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_WEn" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_CLK" PULLMODE=NONE SLEWRATE=FAST ; IOBUF PORT "dram_CKE" PULLMODE=NONE SLEWRATE=FAST ;